触发器的VHDL描述

发布时间:2023-12-09
异步清零,异步置位触发器 library ieee;
use ieee.std_logic_1164.all;
entity dchu is
port (
clk : in std_logic;
aclr : in std_logic;
apre : in std_logic;
d : in std_logic;
q :out std_logic
);
end;
architecture ffq of dchu is
signal
q1:std_logic;
begin
process (apre,aclr,clk,q1)
begin
if aclr='1' then
q1<='0';
elsif apre='1'
then q1<='1';
elsif clk'event and clk='1'
then q1<=d;
end if;
end process;
q<=q1; end ffq;
同步清零触发器
上一个:固态硬盘大小有哪些,台式机固态硬盘尺寸一般是多大的
下一个:vivox5pro怎么降级,vivox5pro手机升级了系统软件后手机不好用怎样才能回到以前的版

佳能750d怎么连接手机传照片视频(佳能750d怎么连接手机传照片需要注册)
访问空间被挡并留下了痕迹是什么意思(访问空间被挡并留下了痕迹对方知道吗)
喝大红袍必须知道的5大常识
小高层项目工费成本支出控制措施有哪些?
联想序列号查询入口,联想电脑序列号怎么查
茉莉花的养护
苦荞茶的功效
电脑登录微博怎么注销(电脑版微博怎么退出账户)
糖芥 橙黄糖芥
office办公用哪个版本比较多(office办公软件哪个版本是免费下载)